Şimdi Ara

TSMC’nin 2nm üretim süreçleri NanoFlex teknolojisine kavuşuyor

Daha Fazla
Bu Konudaki Kullanıcılar: Daha Az
2 Misafir - 2 Masaüstü
5 sn
5
Cevap
0
Favori
193
Tıklama
Daha Fazla
İstatistik
  • Konu İstatistikleri Yükleniyor
1 oy
Öne Çıkar
Sayfa: 1
Giriş
Mesaj
  • TSMC’nin 2nm üretim süreçleri NanoFlex teknolojisine kavuşuyor
    TSMC, düzenlediği sempozyum kapsamında önümüzdeki birkaç yıl içerisinde göreceğimiz süreç teknolojileri hakkında yeni detaylar ve bilgiler paylaştı. Dünyanın en büyük fason yarı iletken üreticisi olan şirket, 2026 ile 1,6nm olarak nitelendirilen A16 süreç teknolojisine geçecek. 2025 yılında ise 2nm’yi ifade eden N2 nesillerini göreceğiz. Bu bağlamda TSMC, N2 üretim teknolojisinde yenilikçi NanoFlex teknolojisi dahil edeceğini duyurdu.



    TSMC, 2025’te 2nm diyor



    TSMC, planlandığı gibi 2025 yılının ikinci yarısında N2 yani 2nm süreç teknolojisiyle çipleri seri olarak üretmeye başlayacak. Firma aynı zamanda N2 süreçlerinde yenilikçi NanoFlex teknolojisini de merkeze alacak. 2nm süreçleri, mevcut 3nm süreçlerine göre iyileştirmeler içerecek. N3 ailesinde gördüğümüz gibi TSMC’nin N2 süreci de ilerleyen dönemde N2P yani 2. Nesil 2nm sürecine de sahip olacak. Her iki üretim teknolojisinde de arka taraf güç dağıtımı kullanılmayacak. Bu yaklaşım, detaylarını daha önce paylaştığımız A16 ve sonraki süreçlerde kullanılacak.



     Ayrıca Bkz.TSMC, 1.6nm süreç teknolojisi A16’yı tanıttı: İşte detaylar



    TSMC'nin yakında çıkacak olan N2 üretim teknolojisi, mevcut N3E üretim süreçlerine göre yüzde 10 ila 15 arasında performans artışı ve enerji tüketiminde yüzde 25 ila 30 arasında iyileştirme vadediyor. Yeni sürece geçişle birlikte çip yoğunluğunda da 1,15 katlık artış sağlanacak. TSMC'nin N2 ailesi en az üç süreç içerecek: N2, N2P ve N2X (HPC odaklı).



    TSMC’nin 2nm üretim süreçleri NanoFlex teknolojisine kavuşuyor
    Bu süreçlerin tümü daha yüksek performans için kanal genişliğini artıran veya daha düşük güç tüketimini mümkün kılan GAA nanosheet transistörleri kullanacak. Dediğimiz gibi tüm aile aynı zamanda NanoFlex teknolojisini de barındıracak. TSMC NanoFlex, tasarımcılara hücre bazlı tasarım esnekliği sunacak. Böylelikle tasarımcılar aynı tasarım bloğu içinde en uygun güç, performans ve alan özelliklerini optimize edebilecek. TSMC, NanoFlex sayesinde yapılacak optimizasyonlarla yüzde 15’e kadar performans kazanımının sağlanabileceğini söylüyor.



    TSMC’nin 2nm üretim süreçleri NanoFlex teknolojisine kavuşuyor
    Daha önceki haberlimizde TSMC’nin N2P sürecinde arka taraf güç dağıtım ağını benimseyeceğinden sizlere bahsetmiştik, kaldı ki bunu TSMC söylüyordu. Ancak açıklanan yeni planlara göre TSMC, N2P sürecinde geleneksel güç dağıtım mekanizmalarını kullanmaya devam edecek.




    Kaynak:https://www.tomshardware.com/tech-industry/tsmcs-2nm-nodes-get-nanoflex-n2p-loses-backside-power-delivery







  • Nano metre altına az kaldı o değerlere 0.8 nm filan diye yazılacak artık.

    110 nm Pentium işlemcileri ilk çıktığında amma havalı idi şimdi o hava kalmadı nedense.

    < Bu ileti iOS uygulamasından atıldı >
  • Bu 6nm 5nm 3nm, şimdi bu 2nm litografilerinin aslında fiziken kaç nm olduğunu gösteren bir kaynak var mı, teknik analiz olarak vs?

  • 180 nm celeron 633 soket 370 kullanıyordum seköre girerken nerden nereye

  • 
Sayfa: 1
- x
Bildirim
mesajınız kopyalandı (ctrl+v) yapıştırmak istediğiniz yere yapıştırabilirsiniz.