Şimdi Ara

VHDL ile ilgili sorum

Bu Konudaki Kullanıcılar:
2 Misafir - 2 Masaüstü
5 sn
2
Cevap
0
Favori
397
Tıklama
Daha Fazla
İstatistik
  • Konu İstatistikleri Yükleniyor
0 oy
Öne Çıkar
Sayfa: 1
Giriş
Mesaj
  • Merhabalar VHDL de biraz yeniyim. ISE Design Suite aracılığı ile yazıyorum kodu. Şöyle bişey tasarladım kafamdaki örnek proje için. SW0 ve SW1 switchlerini değiştirerek bunların AND sonuçlarını LD0 ledine ve OR sonuçlarını LD1 pininde görmek istiyorum. Kodda nasıl yazacağımı bulamadım burada kodu paylaşıcam. Bir bilen bana cevap verebilirse çok memnun kalırım şimdiden teşekkürler.

    library IEEE;
    use IEEE.STD_LOGIC_1164.ALL;

    -- Uncomment the following library declaration if using
    -- arithmetic functions with Signed or Unsigned values
    --use IEEE.NUMERIC_STD.ALL;

    -- Uncomment the following library declaration if instantiating
    -- any Xilinx primitives in this code.
    --library UNISIM;
    --use UNISIM.VComponents.all;

    entity Ornekk is
    Port ( SW0 : in STD_LOGIC;
    SW1 : in STD_LOGIC;
    LD0 : out STD_LOGIC;
    LD1 : out STD_LOGIC);
    end Ornekk;

    architecture Behavioral of Ornekk is

    begin
    LD0 <= SW0 and SW1;
    LD1 <= SW0 or SW1;

    end Behavioral;



  • 
Sayfa: 1
- x
Bildirim
mesajınız kopyalandı (ctrl+v) yapıştırmak istediğiniz yere yapıştırabilirsiniz.